1 vhdl code library ieee;
2 vhdl code use ieee.std_logic_1164.all;
7 vhdl code architecture behav of tb is
9 vhdl comment -- toggle period
10 vhdl code constant period_c : time := 1 ms;
12 vhdl comment -- we'll be poking on this signal
13 vhdl code signal toggle_s : std_logic_vector(1 downto 0) := "01";
17 vhdl comment -----------------------------------------------------------------------------
18 vhdl comment -- Process toggle
20 vhdl comment -- Purpose:
21 vhdl comment -- Flip the toggle_s signal periodically.
23 vhdl code toggle: process
26 vhdl code wait for period_c/2;
27 vhdl code toggle_s <= not toggle_s;
29 vhdl code end process toggle;
31 vhdl comment -----------------------------------------------------------------------------
35 vhdl code configuration tb_behav_c0 of tb is
40 vhdl code end tb_behav_c0;